CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ask vhdl

搜索资源列表

  1. ASK.VHDL

    0下载:
  2. ASK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-ASK modulation VHDL simulation based on the procedures and VHDL hardware descr iption language, the baseband signal amplitude modulation ASK
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42322
    • 提供者:少龙
  1. ask.rar

    0下载:
  2. 用简单的DDS方法产生的波形来调制ASK以及FSK,使其调制更简单,The DDS with a simple way to generate the waveform modulation ASK, PSK, FSK, make it more simple modulation
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:105121
    • 提供者:JINJIN
  1. fpga很有价值的27实例

    0下载:
  2. fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用,WDERYY GERVGASrtgwbg rgavtv gvrfggrv gevwecgh evefrt5y3tfvgfgdg
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:700414
    • 提供者:nbonwenli
  1. ASK

    0下载:
  2. 通信系统的ASK调制程序,比较实用,包括完整的工程-ASK modulation communication system procedures, more practical, including the complete works
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:290781
    • 提供者:wanyou2345
  1. elecfans.com-74783742

    1下载:
  2. FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1279053
    • 提供者:钟莉
  1. ask

    0下载:
  2. 提供一个把通信中ASK调制用VHDL来实现的例子,内附有相应的VHDL源程序。-To provide a communication ASK modulation achieved using VHDL example, enclosing a corresponding VHDL source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:66686
    • 提供者:靳朝
  1. ASK_VHDL

    0下载:
  2. ASK调制VHDL程序 --文件名:PL_ASK --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 -ASK modulation VHDL procedures- File Name: PL_ASK- features: VHDL hardware descr iption language based on the base-band signal amplitude modulation ASK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:42317
    • 提供者:huangsong
  1. ASK

    0下载:
  2. 通信常用码型,仅供大家参考。是自己编的,实际也跑通了。-Communications code commonly used type, for your reference. Is own, actual also had a run.
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-04-14
    • 文件大小:3082
    • 提供者:mary
  1. ask

    0下载:
  2. VHDL语言编写的调制过程,其中包含了采样量化和编码的全部源代码-VHDL used for modulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1600
    • 提供者:李明
  1. ask

    0下载:
  2. 基于CPLD的数字通信系统 ask序列 用VHDL产生 ask序列信号-CPLD-based digital communications system, ask the sequence generated by VHDL signal sequence ask
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2643
    • 提供者:石一鸣
  1. ask

    0下载:
  2. 用VHDL语言实现ask调试,用VHDL语言实现ask调试-This program can do ask using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:545
    • 提供者:董永鑫
  1. 11

    0下载:
  2. ASK调制与解调系统VHDL程序及仿真-ASK modulation and demodulation system and simulation of VHDL procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:41987
    • 提供者:jack wolf
  1. ask

    0下载:
  2. 通信系统数字信号调制,振幅监控ask信号的调制和解调的VHDL代码-Communication systems digital signal modulation, amplitude control ask signal modulation and demodulation of the VHDL code for
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:806
    • 提供者:lihao
  1. ASK-VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-VHDL hardware descr iption language based on the ASK baseband amplitude modulation signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42132
    • 提供者:海玲
  1. 2-ask

    0下载:
  2. 2-ASK调制解调的FPGA实现。ASK-TWO为调制程序,two-ASK为解调程序。-2-ASK modulation and demodulation of the FPGA. ASK-TWO for the modulation process, two-ASK for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1238
    • 提供者:张维
  1. VHDL-ASK

    0下载:
  2. 基于VHSL语言的的ASK调制与解调,用max+plus进行了仿真及分析-VHSL language based on the ASK modulation and demodulation, with max+ plus simulation and analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:239968
    • 提供者:文文
  1. DDS(fsk-ask-psk)

    0下载:
  2. 基于VHDL的波形调制,其中包括调频、调幅,调脉宽等-VHDL-based waveform modulation, including FM, AM, pulse width modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6747337
    • 提供者:王展
  1. ASK--vhdl

    0下载:
  2. ASK调制与解调VHDL程序及仿真 ask的调制解调使用VHDL语言-ASK modulation and demodulation process and VHDL simulation ask modulation and demodulation using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:41999
    • 提供者:刘珊
  1. ASK

    0下载:
  2. ASK调制,verilog VHDL 编程语言实现,其中带有仿真文件(ASK modulation signal)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-29
    • 文件大小:22489088
    • 提供者:
  1. 8.9 ASK调制与解调VHDL程序及仿真

    0下载:
  2. ASK调制VHDL程序及仿真,功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制(ASK modulation VHDL program and simulation, function: Based on the VHDL hardware descr iption language, the baseband signal is ASK amplitude modulation)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-29
    • 文件大小:41984
    • 提供者:少年高飞
« 12 3 4 »
搜珍网 www.dssz.com